quartus 中VHDL错误library ieee;use ieee.std_logic_1164.all;entity and2 is-- generic(rise,fall:TIME);port(a,b:in bit;c:out bit);end entity;architecture wen of and2 isbeginprocess beginc

来源:学生作业学帮网 编辑:学帮网 时间:2024/06/16 18:35:28

quartus 中VHDL错误
library ieee;
use ieee.std_logic_1164.all;
entity and2 is
-- generic(rise,fall:TIME);
port(a,b:in bit;
c:out bit);
end entity;
architecture wen of and2 is
begin
process
begin
c

小错误 ,检查你的实体名与文件名是否一致,entity .. architecture 里的实体名是否一致!