VHDL中 a (others => '0'));是什么意思

来源:学生作业学帮网 编辑:学帮网 时间:2024/05/19 12:05:43

VHDL中 a (others => '0'));是什么意思

a '0')是将向量a各位全部赋值为零.
而a (others => '0'))对于一个向量vector来说是非法的,
因为此时需要二维数组.
也就是说,如果a是二维数组的话,这条语句会把数组中的每一位置0.
因此a类型不同的情况下,上述语句会出现错误或正确两种情况.
所以如果a是个二维数组的话,意思是把所有的位置0
如果a是个一般的信号量,那这个写法是错误的.