在Verilog 里 A=$random是什么意思

来源:学生作业学帮网 编辑:学帮网 时间:2024/05/13 21:31:23

在Verilog 里 A=$random是什么意思

$random函数调用时返回一个32位的随机数,它是一个带符号的整形数