如何将32768Hz的石英晶体振荡器分频为1HZ和2HZ的信号?

来源:学生作业学帮网 编辑:学帮网 时间:2024/05/21 09:03:14

如何将32768Hz的石英晶体振荡器分频为1HZ和2HZ的信号?

用一片4060(自带振荡电路)的Q14输出2HZ,再用一片可以二分频的电路可以得到1HZ,一般具有计数功能的电路如4017,4022,4040等都可以实现.